Home Gadgets Intel versnelt proces- en verpakkingsinnovaties

Intel versnelt proces- en verpakkingsinnovaties

by Mark van Truijen

Intel onthult een van de meest gedetailleerde roadmaps voor proces- en verpakkingstechnologie die het bedrijf ooit heeft verstrekt. De roadmap laat een reeks fundamentele innovaties zien die producten tot 2025 en daarna zullen aandrijven. Onder andere RibbonFET, de eerste nieuwe transistorarchitectuur in meer dan tien jaar, en PowerVia, een nieuwe backside power delivery-methode in de sector, werden geïntroduceerd. Daarnaast plant Intel een snelle invoering van de volgende generatie extreme ultraviolette lithografie (EUV), waar naar wordt verwezen als High Numerical Aperture (High NA) EUV. Intel is gepositioneerd om de eerste High NA EUV-productietool in de industrie te ontvangen.

“Voortbouwend op Intels onbetwiste leiderschap op het gebied van geavanceerde verpakkingen, versnellen we onze roadmap voor innovatie om ervoor te zorgen dat we tegen 2025 duidelijk op weg zijn naar leiderschap op het gebied van procesprestaties”, zei Intel CEO Pat Gelsinger tijdens de Intel Accelerated-webcast. “We maken gebruik van onze ongeëvenaarde pijplijn van innovatie om technologische vooruitgang te leveren van de transistor tot op systeemniveau. Tot het periodiek systeem uitgeput is, zullen we Moore’s Law blijven nastreven en ons pad blijven volgen om te innoveren met de magie van silicium.”

De industrie erkent al lang dat de traditionele naamgeving van nodes op basis van nanometers in 1997 niet meer overeenkwam met de werkelijke gate-length metriek. Intel introduceert een nieuwe naamgevingsstructuur voor zijn nodes, waarmee een duidelijk en consistent framework wordt gecreëerd om klanten een nauwkeuriger beeld te geven dan nodes in de gehele industrie. Deze duidelijkheid is belangrijker dan tevoren met de lancering van Intel Foundry Services. “De onthulde innovaties zullen niet alleen Intels product roadmap mogelijk maken; ze zullen ook van cruciaal belang zijn voor onze foundry-klanten”, aldus Gelsinger. “De belangstelling voor IFS is groot en ik ben blij dat we onze eerste twee grote klanten mochten aankondigen.”

Intel-technologen beschreven de volgende roadmap met de nieuwe nodenamen en de innovaties die elke node mogelijk maakt.

  • Intel 7 levert een prestatie per watt verbetering van ongeveer tien tot vijftien procent ten opzichte van Intel 10nm SuperFin, gebaseerd op FinFET-transistoroptimalisaties. Intel 7 zal te zien zijn in producten zoals Alder Lake in 2021 en Sapphire Rapids voor datacenters, dat naar verwachting in het eerste kwartaal van 2022 in productie zal gaan.
  • Intel 4 omarmt EUV-lithografie volledig om hele kleine functies te printen met behulp van ultra-korte golflengte licht. Met een prestatie per watt toename van ongeveer twintig procent, samen met gebiedsverbeteringen, zal Intel 4 klaar zijn voor productie in de tweede helft van 2022 voor producten die in 2023 worden verzonden, inclusief Meteor Lake voor consumenten en Granite Rapids voor datacenters.
  • Intel 3 maakt gebruik van verdere FinFET-optimalisaties en verhoogde EUV om een prestatie per watt verbetering van ongeveer achttien procent te realiseren ten opzichte van Intel 4, samen met aanvullende gebiedsverbeteringen. Intel 3 zal in de tweede helft van 2023 klaar zijn om te beginnen met de productie van producten.
  • Intel 20A luidt het angstrom-tijdperk in met twee baanbrekende technologieën, RibbonFET en PowerVia. RibbonFET, Intels implementatie van een gate-all-around transistor, zal de eerste nieuwe transistorarchitectuur van het bedrijf zijn sinds het in 2011 met FinFET pionierde. De technologie zorgt voor hogere transistorschakelsnelheden, terwijl dezelfde aandrijfstroom wordt bereikt als met meerdere fins in een kleinere afdruk. PowerVia is Intels unieke industry-first implementatie van backside power delivery, waarbij de signaaloverdracht wordt geoptimaliseerd doordat er geen stroom hoeft te worden geleid aan de voorzijde van de wafer. De verwachting is dat Intel 20A in 2024 op de markt komt.
  • 2025 en verder: na Intel 20A is Intel 18A al in ontwikkeling voor begin 2025, met verfijningen van RibbonFET die nog een grote sprong in transistorprestaties zullen opleveren. Intel is ook bezig met het definiëren, bouwen en implementeren van de volgende generatie High NA EUV, en verwacht de eerste productietool in de industrie te ontvangen. Intel werkt nauw samen met ASML om het succes te verzekeren van deze doorbraak in de industrie die verder gaat dan de huidige generatie EUV.

“Intel heeft een lange geschiedenis van baanbrekende procesinnovaties die de industrie met sprongen vooruit hebben gestuwd”, aldus Dr. Ann Kelleher, senior vice president en general manager van Technology Development bij Intel. “We hebben de overgang naar gespannen silicium op 90nm geleid, naar high-k metal gates op 45nm en naar FinFET op 22nm. Intel 20A wordt een nieuw keerpunt in de procestechnologie met twee baanbrekende innovaties: RibbonFET en PowerVia.”

Met Intels nieuwe IDM 2.0-strategie wordt verpakken nog belangrijker voor het realiseren van de voordelen van Moore’s Law. Bekijk de volgende inzichten in de toonaangevende roadmap voor geavanceerde verpakkingen van het bedrijf.

  • EMIB blijft de industrie leiden als de eerste 2,5D embedded bridge-oplossing, met producten die sinds 2017 worden verscheept. Sapphire Rapids zal het eerste Xeon datacenter product zijn dat in volume wordt verscheept met EMIB (Embedded Multi-die Interconnect Bridge). Het zal ook het eerste apparaat in de sector zijn met een dubbele chipgrootte, dat bijna dezelfde prestaties levert als een monolithisch ontwerp. Naast de Sapphire Rapids zal de volgende generatie EMIB van een 55 micron bump pitch naar 45 micron gaan.
  • Foveros maakt gebruik verpakkingsmogelijkheden op waferniveau om een 3D-stapeloplossing te bieden die het eerst in zijn soort is. Meteor Lake wordt de tweede generatie implementatie van Foveros in een consumentenproduct en heeft een bump pitch van 36 micron, tiles voor meerdere technologie-nodes en een thermisch ontwerpvermogen van 5 tot 125W.
  • Foveros Omni luidt de volgende generatie Foveros-technologie in door grenzeloze flexibiliteit te bieden met prestatiegerichte 3D-stapeltechnologie voor die-to-die interconnect en modulaire ontwerpen. Foveros Omni maakt disaggregatie mogelijk, waarbij meerdere top-die tiles worden gecombineerd met meerdere basis tiles in verschillende fab-nodes. Verwacht wordt dat de technologie in 2023 klaar is voor volumefabricage.
  • Foveros Direct maakt een directe copper-to-copper verbinding mogelijk voor interconnecties met lage weerstand en vervaagt de grens tussen waar de wafer eindigt en waar de verpakking begint. Foveros Direct maakt bump pitches van minder dan 10 micron mogelijk, waardoor de interconnectiedichtheid voor 3D-stacking met een orde van grootte toeneemt. Dit biedt nieuwe mogelijkheden voor functionele die-partinioning die voorheen onhaalbaar waren. Foveros Direct is complementair aan Foveros Omni en zal naar verwachting ook in 2023 gereed zijn.

De besproken doorbraken zijn voornamelijk ontwikkeld in Intels faciliteiten in Oregon en Arizona, waarmee de rol van het bedrijf als toonaangevende speler met zowel onderzoek en ontwikkeling als productie in de VS wordt verstevigd. Bovendien zijn de innovaties gebaseerd op een nauwe samenwerking met een ecosysteem van partners in de VS en Europa. Diepgaande partnerschappen zijn essentieel om fundamentele innovaties van het laboratorium naar grootschalige productie te brengen en Intel is vastbesloten om samen te werken met overheden om toeleveringsketens te versterken en de economische en nationale veiligheid te stimuleren.

Related Posts

0 0 stemmen
Artikelbeoordeling
Abonneer
Laat het weten als er
0 Reacties
Inline feedbacks
Bekijk alle reacties
0
Zou graag je gedachten willen weten, laat een reactie achter.x